Anlık Bildirim

Samsung’un 1.4nm işlem süreci hakkında yeni detaylar açığa çıktı

Yarı iletken alanındaki kıyasıya mücadele hız kesmeden devam ediyor. Samsung, TSMC ve Intel’in yürüttüğü savaş sürerken Samsung’un 1.4nm işlem sürecinden yeni bilgiler geldi.
Samsung’un 1.4nm işlem süreci hakkında yeni detaylar açığa çıktı Tam Boyutta Gör
Samsung Foundry başkan yardımcısı Jeong Gi-Tae, The Elec'e verdiği demeçte, Samsung'un yaklaşmakta olan SF1.4 (1.4nm sınıfı) işlem teknolojisinin nanosheet sayısını üçten dörde çıkaracağını söyledi. Bu hamle, performans ve güç tüketimi açısından önemli avantajlar getirmeyi vaat ediyor.

Samsung, 1.4nm sürecine hazırlanıyor

Samsung, 2022 yılının ortalarında SF3E (3nm, 3GAE olarak da bilinir) ile Gate-All-Around (GAA) nano tabaka transistörlerine dayanan süreç teknolojisini tanıtan ilk şirket oldu. Samsung’un bir süredir 3nm GAA çipleri müşterilerine tedarik ettiği ancak bunların büyük çaplı olmadığı biliniyor. Gelecek yıl Samsung, daha geniş bir uygulama yelpazesi tarafından kullanılmaya hazır olan SF3 teknolojisini tanıtmayı planlıyor. Samsung, 2025 yılında ise veri merkezi CPU'ları ve GPU'ları düşünülerek tasarlanmış, performansı artırılmış SF3P teknolojisini piyasaya sürmeyi planlıyor.

Samsung’un 1.4nm işlem süreci hakkında yeni detaylar açığa çıktı Tam Boyutta Gör
Yine 2025 yılında Samsung, yalnızca GAA transistörlerine dayanmakla kalmayıp aynı zamanda transistör yoğunluğu ve güç dağıtımı söz konusu olduğunda önemli avantajlar sağlayan arka taraf güç dağıtımına da sahip olacak SF2 (2nm sınıfı) üretim sürecini tanıtmayı bekliyor.

GAA tabanlı SF3E'nin piyasaya sürülmesinden sonra Samsung’un üretim süreçlerindeki belki de en büyük revizyon SF1.4 süreciyle yaşanacak. Samsung'un SF1.4 teknolojisinin nanosheet sayısını üçten dörde çıkararak ek bir nanosheet kazanacağı ve teknolojinin 2027 yılında hazır olacağı belirtiliyor. Transistör başına nanosheet sayısının artırılması performansa pozitif bir etki yapabilir.

Daha fazla nanosheet, transistörden daha fazla akım geçmesini sağlayarak anahtarlama yeteneklerini ve çalışma hızını artırabilir. Ayrıca, daha fazla nanosheet akım akışının daha iyi kontrol edilmesini sağlayabilir, bu da kaçak akımın azaltılmasına yardımcı olarak güç tüketimini azaltabilir. Ayrıca, akım akışının daha iyi kontrol edilmesi transistörlerin daha az ısı üretmesi anlamına gelir ki bu da güç verimliliğini artırır.

Samsung’un 1.4nm işlem süreci hakkında yeni detaylar açığa çıktı Tam Boyutta Gör
Hem Intel hem de TSMC, GAA transistörlerini sırasıyla 2024 ve 2025 yıllarında 20A ve N2 (2nm sınıfı) işlem teknolojileriyle kullanmaya başlamayı planlıyor. Bu şirketler nano tabaka tabanlı düğümlerini piyasaya sürdüklerinde Samsung, GAA transistörlerde rakiplerine nazaran önemli bir deneyime sahip olacak.

2027 yılından sonra ise GAA transistörlerin yerine gelecek CFET transistörleri konuşmaya başlayacağız. CFET ile birlikte yarı iletken alanında bir devrimin kapıları aralanacak. Transistörler, yoğunluğu artırmak için dikey şekilde istiflenmeye başlayacak.

Bu haberi, mobil uygulamamızı kullanarak indirip,
istediğiniz zaman (çevrim dışı bile) okuyabilirsiniz:
DH Android Uygulamasını İndir DH iOS Uygulamasını İndir
Sorgu:

Editörün Seçtiği Sıcak Fırsatlar

Sıcak Fırsatlar Forumunda Tıklananlar

Tavsiyelerimiz

Yeni Haber
şimdi
Geri Bildirim